Difference between revisions of "Calibration Train"

From GlueXWiki
Jump to: navigation, search
Line 1: Line 1:
 
__TOC__
 
__TOC__
  
=  
+
= Run Groups =
 +
 
 +
{| class="wikitable"
 +
! SWIF workflow
 +
! Run Range
 +
! Notes
 +
|-
 +
| [https://halldweb.jlab.org/calib_challenge/GlueX-CalibRun-2016-02-25 GlueX-CalibRun-2016-02-25] || 10457 - 10529 || first pass
 +
|-
 +
| [https://halldweb.jlab.org/calib_challenge/GlueX-CalibRun-2016-02-25 GlueX-CalibRun-2016-02-29] || 10531 - 10647 || first pass
 +
|-
 +
| [https://halldweb.jlab.org/calib_challenge/GlueX-CalibRun-2016-02-25 GlueX-CalibRun-2016-03-04] || 10649 - 10724 || first pass
 +
|}
  
 
= Job Structure =  
 
= Job Structure =  

Revision as of 00:38, 9 March 2016

Run Groups

SWIF workflow Run Range Notes
GlueX-CalibRun-2016-02-25 10457 - 10529 first pass
GlueX-CalibRun-2016-02-29 10531 - 10647 first pass
GlueX-CalibRun-2016-03-04 10649 - 10724 first pass

Job Structure

Currently 3 "passes" through the data are performed. The first pass tries to do as many calibrations as possible with one file of data. The second pass tries to do calibrations that need a larger data set. The final pass runs through a full run to use the full statistics in a run and to generate outputs for other calibration procedures that can't be done automatically yet (e.g. pi0 calibrations).

The plugins that are run on each pass are:

  • Pass 1
    • Step 1 - RF_online
    • Step 2 - HLDetectorTiming (coarse timing+ADC/TDC alignment),TOF_TDC_shift
    • Step 3 - HLDetectorTiming (track-based timing),BCAL_TDC_Timing (timewalks)
  • Pass 2
    • Step 1 - PS_timing,TAGH_timewalk,BCAL_attenlength_gainratio,BCAL_TDC_Timing (full)
    • Step 2 - st_tw_corr_auto
  • Pass 3
    • HLDetectorTiming,PSC_TW,BCAL_gainmatrix,FCALgains,FCALpedestals,ST_Tresolution,ST_Propagation_Time,p2gamma_hists,imaging,pedestal_online,BCAL_LEDonline,PS_timing

Output

Calibration Challenge

Old Planning

Organization

  • The jobs will be submitted every Tuesday at noon, JLab time.
  • The jobs will be run from the gxproj3 account [parallel use with EventStore jobs]
  • The output of the jobs will be stored in ...

Run Ranges

The following runs will be processed:

RunPeriod-2015-03

  • 2931, 3079, 3179, 3180, 3183, 3185

Calibrations

Job Requirements

Each calibration process should include the following:

What is Being Run

The following plugins are currently being run:

  • RF_online (RF signal)
  • BCAL_TDC_Timing
  • HLDetectorTiming
  • PSC_TW

Working on adding:

Code

The current code can be obtained at

svn co https://halldsvn.jlab.org/repos/trunk/home/sdobbs/calibration_train/

The ROOT library directory can be found at: ...